Aktuelle Jobs im Zusammenhang mit Senior Digital Design Engineer Job - Neuenburg, Neuenburg - Michael Page International (Switzerland) SA
-
Senior Digital IC Design Engineer
Vor 3 Tagen
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitWe are currently partnered with an industry leading semiconductor company looking to expand their team in Switzerland with a Senior Digital IC Design Engineer with expertise in developing embedded MCU/DSP systems. This is an exciting opportunity to work on cutting-edge semiconductor products, collaborating with a talented team in a supportive...
-
Digital IC Design Engineer
vor 1 Tag
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitSenior Consultant | Semiconductor, Automotive, Software EngineeringA fantastic opportunity for a skilled Digital Design engineer to join a global leading semiconductor company, who are developing silicon solutions which help improve lives around the world.You will be part of a team who are developing low power DSP systems, secure Bluetooth MCUs and...
-
Senior Digital Design Professional
Vor 3 Tagen
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitSenior Digital Design EngineerWe are a leading recruiter of top talent in the European technology sector, partnering with innovative companies to drive progress and advancement.Our client is a global semiconductor company focused on creating technologies for a safer, cleaner, and smarter world. They seek an experienced Senior Digital Design Engineer to join...
-
Senior Hardware Design Engineer
vor 1 Tag
Neuenburg, Neuenburg, Schweiz Cpl VollzeitJob DescriptionWe are seeking a skilled Senior Embedded Engineer to drive innovation in ultra-low power IoT solutions. As a member of our cross-functional team, you will define system architecture and design methodologies for low-power IoT devices, working closely with customers, R&D, and operations to deliver high-performance solutions.
-
Senior Digital IC Designer
vor 24 Stunden
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitCompany Overview:We are a global leading semiconductor company developing silicon solutions that improve lives worldwide.Job Description:A skilled Digital Design engineer will join our team to develop low power DSP systems, secure Bluetooth MCUs, and dependable mixed-signal SoCs.The role involves architecting, specifying, implementing, simulating, and...
-
Digital Design Engineer Leader
vor 24 Stunden
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitJob Function:This is an Engineering, Design, and Information Technology position within Semiconductor Manufacturing, Electrical Equipment Manufacturing, and Computers and Electronics Manufacturing industries.About the Role:This is a mid-senior level full-time employment opportunity at European Tech Recruit.Key Responsibilities:Contribute to feasibility...
-
Senior Mechanical Design Engineer
Vor 6 Tagen
Neuenburg, Neuenburg, Schweiz Randstad VollzeitJob Title: Senior Product Development EngineerWe are currently seeking a talented Senior Product Development Engineer to join our team in the medical devices sector. The ideal candidate will have a proven track record of delivering high-quality products that meet customer needs and regulatory requirements.The successful candidate will be responsible for...
-
Digital IC Design Engineer
Vor 4 Tagen
Neuenburg, Neuenburg, Schweiz Senbiosys VollzeitGet AI-powered advice on this job and more exclusive features.Personalized health monitoring is a dynamic and growing market. The need in this segment is to gather and analyze key medical vital signs to motivate people to improve their health and to detect early health risks. The challenge is to integrate sensors that measure various vital signs more...
-
Senior Analog IC Design Engineer
vor 20 Stunden
Neuenburg, Neuenburg, Schweiz IC Resources VollzeitI am looking to help my client expand their design team in Switzerland with a Senior Analog IC Design Engineer. In this pivotal role, the selected engineer will be instrumental in implementing analog components for our cutting-edge ultra-low power Integrated Circuits (ICs) and will actively participate in the integration of these components into top-level...
-
Neuenburg, Neuenburg, Schweiz Senbiosys VollzeitSenbiosys is a dynamic and growing company at the forefront of wearable health monitoring devices. We are looking for a skilled digital electronics engineer to develop our PPG sensor chip technology.The successful candidate will have experience with Cadence tools, specifically RTL design, synthesis, place and route, and static timing analysis. A Master's...
-
Expert Digital Designer
Vor 3 Tagen
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitLead Digital Design EngineerWe help innovative companies find top talent in the European tech sector.Our partner, a pioneering semiconductor company, aims to create innovative solutions for a better future. To achieve this goal, they require an experienced Lead Digital Design Engineer to oversee the development of cutting-edge embedded systems.The ideal...
-
Senior Electronic Hardware Engineer
Vor 3 Tagen
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitWe are currently looking for an exceptional Senior Electronic Hardware Engineer to expand our team in Switzerland. As a key member of our team, you will be responsible for developing embedded MCU/DSP systems and hardware accelerators.This is a full-time, permanent opportunity based onsite in Neuchatel, offering a supportive environment and opportunities for...
-
Neuenburg, Neuenburg, Schweiz Senbiosys VollzeitAt Senbiosys, we are on the cutting-edge of wearable health monitoring devices. Our innovative technology integrates sensors that measure vital signs to motivate people to improve their health and detect early risks.We are seeking a talented digital design engineer who can maintain the logic block of our chip design and ensure continuous improvement of our...
-
Senior Embedded Engineer
vor 1 Tag
Neuenburg, Neuenburg, Schweiz Cpl VollzeitSenior Embedded Engineer – Ultra-Low Power IoT SolutionsLocation: Neuchâtel, Switzerland (5 days onsite)Design the Future of IoT with Cutting-Edge Ultra-Low Power TechnologyAs a senior embedded engineer, you will join a global leader in wireless IoT and ultra-low-power semiconductor solutions. You will drive innovation, collaborating with cross-functional...
-
Digital Engineering Leadership Role
Vor 3 Tagen
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitDigital Design Engineer - EmbeddedAt European Tech Recruit, we connect talented individuals with forward-thinking companies that shape the future.Our partner, a pioneering semiconductor company, aims to create solutions for a more sustainable and connected world. To achieve this vision, they require an experienced Senior Digital Design Engineer to lead the...
-
Product Design Support Specialist
Vor 6 Tagen
Neuenburg, Neuenburg, Schweiz OK Job SA VollzeitWe are looking for a Mechanical Engineer to join our team at OK Job SA. As a Technical Sales Support Engineer, you will be responsible for developing assembly concepts based on standard platforms and providing product design support to customers.Main ResponsibilitiesReview customer specifications and products to develop assembly conceptsProvide product...
-
IC Designer for Photoplethysmography
Vor 4 Tagen
Neuenburg, Neuenburg, Schweiz Senbiosys VollzeitWe are Senbiosys, a pioneering company in wearable health monitoring devices. We are looking for a senior digital IC design engineer with experience in analog simulation to join our team.The successful candidate will have extensive experience with Cadence tools, specifically RTL design, synthesis, place and route, and static timing analysis. A Master's...
-
Digital IC Design Expert
Vor 3 Tagen
Neuenburg, Neuenburg, Schweiz European Tech Recruit VollzeitWe are currently seeking an experienced Digital IC Design Expert to join our team in Switzerland. This is an exciting opportunity to work on cutting-edge semiconductor products, collaborating with a talented team in a supportive environment.This role is based onsite in Neuchatel and involves architecting, implementing, simulating, and benchmarking MCU/DSP...
-
Senior Architectural Designer
vor 3 Stunden
Neuenburg, Neuenburg, Schweiz De gré Sàrl VollzeitWe are looking for a highly skilled Senior Architectural Designer to join our team at De gré Sàrl.About the RoleThis is a part-time position that requires strong design and project management skills.ResponsibilitiesDevelop and maintain architectural designs and models.Collaborate with clients to understand their needs and preferences.Evaluate construction...
-
PCB Design Engineer
vor 24 Stunden
Neuenburg, Neuenburg, Schweiz Senbiosys VollzeitAbout the Role:We are searching for a talented PCB Design Engineer to join our team at Senbiosys. As a critical member of our engineering team, you will play a key role in the development of our wearable devices.Your primary responsibility will be to lead the design and prototyping of printed circuit boards (PCBs) that meet our device specifications. You...
Senior Digital Design Engineer Job
vor 1 Woche
About Our Mission
We are committed to helping drive a more sustainable future through our innovative semiconductor technologies.
We operate across 20+ manufacturing sites globally, including a strong presence in Europe, Asia, and North America.
We have a forward-thinking approach to sustainability and energy-efficient solutions, with a focus on energy-efficient solutions like SiC and GaN.
Job Responsibilities
- As the Digital IC Design Engineer, you will play a pivotal role in shaping product lines, driving innovation, and ensuring technical excellence.
- Mentor a team of engineers, fostering a collaborative and innovative environment.
- Architect, specify, implement, simulate, and benchmark MCU, DSP systems, and hardware accelerators that push the boundaries of technology.
- Spearhead improvements in design methodology and workflows, ensuring the team stays at the cutting edge of semiconductor development.
- Collaborate with product integration teams to define, integrate, and optimize these systems.
- Lead the verification process and FPGA prototyping of systems to ensure robust and reliable designs.
- Coordinate closely with the software team to guide SDK development for these systems, enabling seamless software-hardware integration.
Requirements
General Skills
- Bachelor's degree in Electrical Engineering or a related technical field.
- 5 to 8 years of experience in semiconductor product development.
- Expertise in embedded CPUs and AMBA bus protocols (AHB/APB).
- Experience in RTL design of digital IP blocks and systems, using Verilog/SystemVerilog.
- Deep knowledge of design intent methodologies, including timing constraints (SDC) and power intent (UPF).
- Write technical documentation and communicate complex ideas clearly.
- Fluent English is required; French is a plus.
Bonus Skills
- Experience with CPU/MCU design, SystemRDL, or IP-XACT.
- RISC-V architecture.
- Experience in Python and C/C++.
- RTL-to-GDS flow, including logic synthesis, place-and-route, STA, and power analysis.
- Digital verification methodologies, such as UVM.
What We Offer
We offer a competitive compensation package, including:
- Child Allowance.
- Supplementary salary: Salary paid in 12 installments + 13th month.
- 5 weeks of holidays (25 days).
- Corporate bonus program.